Cómo abrir la ventana de depuración de Vivado
En primer lugar, en el primer paso, debe marcar la señal que desea observar, es decir, mark_debug. Hay dos formas de marcar_debug. Utilicé verilog para escribir un programa en ejecución liviano y simple. solo unas pocas líneas de código, como se muestra a continuación:
módulo principal(
entrada clk,
entrada primera,
salida. reg [7:0] led
);
(*mark_debug = "true "*) reg [23:0] contador;
siempre @( posedge clk) comenzar
if( rst) comenzar
contador <= 0;
led <= 8'b00000001;
finalizar
else contador <= contador + 1;
if (contador == 24'hffffffff)
led <= {led[6:0],led [7]}; p>
End
endmodule
Por ejemplo, para observar la forma de onda de la señal del contador, defina el contador de señal de tipo reg en la línea 7 y agregar (*mark_debug= "true "*) marca la señal del contador.
Si se implementa en lenguaje vhdl, esta oración se puede escribir así:
Contador de señal: std_logic_vector (23 hasta 0);
Atributo mark_debug: cadena;
El atributo mark_debug del contador: la señal es "verdadera";
Además, agregue el archivo de restricción xdc de la siguiente manera:
set_property PACKAGE_PIN Y9 [get_ports clk]
set_property PACKAGE_PIN T18 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_portsclk]
set_property IOSTANDARD LVCMOS18 [get_ports rst]
set_ property PACKAGE_PIN T22 [ get_ports {led[0]} ]
set_property PACKAGE_PINT21 [get_ports {led[1]}]
set_property PACKAGE_PIN U22 [get_ports {led[2]}]
set_property PACKAGE_PIN U21 [ get_ports {led[3]}]
set_propertyPACKAGE_PIN V22 [get_ports {led[4]}]
set_ property PACKAGE_PIN W22 [get_ports {led[5 ]}]
establecer_ propiedad PACKAGE_PIN U19 [get_ports {led[6]}]
establecer_ propiedad{
set_perty PACKAGE_PIN U14 [obtener _ports {led[7 ]}]
set_perty IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_pertyIOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2] }]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]