Urgente!!!! La diferencia entre circuito de control pwm y circuito de control de fase
Circuito de control PWM CPLD VHDL En los sistemas de servocontrol de CC, los circuitos de control PWM tradicionales compuestos por chips integrados dedicados o circuitos integrados digitales pequeños y medianos a menudo tienen un diseño de circuito complejo, gran tamaño y poca capacidad antiinterferente. y Desventajas como la dificultad en el diseño y el largo ciclo de diseño. Por lo tanto, la modularización e integración de los circuitos de control PWM se ha convertido en una tendencia de desarrollo. No sólo puede reducir el tamaño, el peso y el consumo de energía del sistema, sino que también mejora en gran medida la confiabilidad del sistema. Con el desarrollo de la tecnología electrónica, especialmente la mejora cada vez mayor de la tecnología de diseño de circuitos integrados de aplicaciones específicas (ASIC), las herramientas de automatización de diseño electrónico digital (EDA) han traído grandes cambios al diseño electrónico, especialmente la aparición de lenguajes de descripción de hardware, que han resuelto El problema tradicional del diseño esquemático de circuitos presenta muchos inconvenientes en la ingeniería de sistemas. En vista de la situación anterior, este artículo presenta un diseño de circuito de control PWM basado en un dispositivo lógico programable complejo (CPLD) y su forma de onda de simulación.
1 Principios básicos del circuito de control PWM Para realizar el control reversible PWM de la misma frecuencia en modo unipolar tipo H del servosistema de CC, generalmente es necesario generar cuatro señales de accionamiento para realizar el avance y Control de conmutación inversa del motor. Cuando el circuito de control PWM está funcionando, las dos señales de accionamiento en un lado del puente H tienen el mismo ciclo de trabajo pero fases opuestas, cambian con la señal de control y tienen una función de enclavamiento mientras el brazo superior del otro lado está bajo; nivelado y el antebrazo está en un nivel alto. Además, para evitar la conducción del tubo en el mismo lado del puente, también se debe equipar un circuito de retardo. El módulo general diseñado se muestra en la Figura 1. Entre ellos, el vector d[7:0] se utiliza para proporcionar a la microcomputadora una señal de control para ajustar el ciclo de trabajo, cs es la microcomputadora para proporcionar una señal de control para controlar la rotación hacia adelante y hacia atrás del motor, clk es el frecuencia del oscilador de cristal local, y el vector qout[3:0] es una salida de cuatro señales. Su diagrama esquemático interno se muestra en la Figura 2. Este diseño puede obtener un PWM con un período de pulso fijo (usando software para configurar el divisor de frecuencia I9 para cambiar la frecuencia de conmutación de PWM, pero una vez configurado, el período de pulso será fijo), el ciclo de trabajo está determinado por la señal de control, y la resolución es 1/256 de señal. El módulo I8 es un pestillo de ancho de pulso que puede bloquear la señal de control d[7:0] del microordenador. El valor vectorial de d[7:0] se utiliza para determinar el ciclo de trabajo de la señal PWM. El oscilador de cristal local clk puede proporcionar el reloj interno para el módulo contador I12 y el módulo de retardo I11 en el circuito de control PWM después de ser dividido por el módulo divisor de frecuencia I9. El contador I12 agrega 1 cuando llega el flanco ascendente de cada pulso. Cuando el valor del contador es 00H o se desborda de 0FFH, saltará a 00H cuando cao genera un nivel alto al extremo de configuración del módulo flip-flop I7. módulo La salida permanece alta. Cuando el valor del pestillo I8 es el mismo que el valor de conteo en el contador I12, la señal se comparará a través del módulo comparador I13 y enviará un nivel alto al terminal de reinicio del módulo I7, de modo que el módulo I7 emita un nivel bajo. Cuando el contador vuelve a desbordarse, se repite el proceso anterior. I7 es un flip-flop RS, a través del cual se pueden obtener dos ondas moduladas por ancho de pulso con fases opuestas y se puede lograr el entrelazado. I11 es un módulo de retardo que puede impedir la conducción de los tubos en el mismo lado del puente. El módulo I10 es un circuito de distribución de pulsos que se utiliza para generar cuatro señales que cumplen con los requisitos de diseño. CS es la señal de control del módulo I10, utilizada para controlar la rotación hacia adelante y hacia atrás del motor.
2 Diseño de circuitos Este diseño utiliza la plataforma de desarrollo is-plever lanzada por Lattice Semiconductor Company, que se posiciona como una herramienta sencilla para diseños complejos. Adopta un proceso de diseño conciso e integra completamente la herramienta de síntesis VHDL de Leonardo Spectrum y el sistema ispVMTM, por lo que todo el proceso de diseño se puede completar sin la necesidad de herramientas de diseño de terceros. En términos de diseño principal, este diseño adopta ideas de diseño de arriba hacia abajo, jerárquicas y modulares. La ventaja de esta idea de diseño es que se ajusta a los hábitos de pensamiento de las personas de abstraer primero y luego lo concreto, primero lo general y luego lo parcial. Los módulos diseñados por él son fáciles de modificar, no afectan a otros módulos, son reutilizables y tienen una alta tasa de utilización. Este artículo solo analiza el módulo contador I12 y el módulo de retardo I11 en el diagrama esquemático.
La programación VHDL del módulo contador es la siguiente: contador de entidad isport(clk: en lógica estándar; Q: vector lógico estándar de salida (7 hasta 0); cao: contador final de salida std_logic a_counter del contador issignal Qs: std_logic_vector); (7 hasta 0 restablecimiento de señal: std_logic; señal caolock: std_logic; startprocess(clk, reset) beginif(reset='1')thenQs<="00000000"; Qs+'1 '; finalizar si; finalizar el proceso; restablecer<='1' cuando Qs=255 else '0'; caolock<='1' cuando Qs=0 else '0'; o caolock; end a_counter;
Figura 2 Esquema del circuito de control reversible PWM
En el diagrama esquemático, el módulo de retardo es esencial. Su función es retrasar el flanco ascendente de la forma de onda PWM. y El flanco descendente no se ve afectado, asegurando así que no se produzca ningún cortocircuito en el mismo lado del puente. El programa VHDL de su módulo es el siguiente: entidad retardo isport(clk: in std_logic; entrada: in std_logic_vector(1 downto 0); salida: out std_logic_vector(1 downto 0) fin del retardo; arquitectura a_delay del retardo issignal Q1, Q2, Q3, Q4: std_logic; comenzar el proceso (clk) comenzar si clk'event y clk='1' entoncesQ3<=Q2; Q2<=Q1; Q1=entrada (1); salida(1)<=entrada(1)y Q3; salida(0)<=entrada(0)y Q4;