Red de conocimiento informático - Conocimiento del nombre de dominio - ¿Qué pasa con el código VHDL del contador de bucles?

¿Qué pasa con el código VHDL del contador de bucles?

-- Restablecimiento asincrónico;; habilitar; contador 8421BCD

-- module=60;

biblioteca ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

ENTIDAD cntm60v ES

PUERTO

(en: IN std_logic;

borrar : IN std_logic;

clk: IN std_logic;

cout: out std_logic;

qh: buffer std_logic_vector(3 hasta 0);

ql: buffer std_logic_vector(3 downto 0));

END cntm60v;

El comportamiento de la CARITECTURA de cntm60v ES

BEGIN

cout<='1' cuando(qh="0000" y ql="1001" y en='1')else'0';

PROCESO(clk,clear)

COMENZAR

SI(clear='0')ENTONCES

qh<="0000";

ql<="0000";

p>

elsif(clk'EVENT AND CLK='1') ENTONCES

si(es='1')entonces

si(ql=9)entonces< / p>

ql<="0000";

si(qh=5)entonces

qh<="0000";

si no

else

qh<="0000"; p>

qh<=qh+1;

finalizar si;

else

ql<=ql+1;

finalizar si;

finalizar si;

finalizar si;

finalizar proceso;

finalizar comportarse;