Red de conocimiento informático - Material del sitio web - Cómo usar ultraedit para resaltar la sintaxis de Verilog

Cómo usar ultraedit para resaltar la sintaxis de Verilog

Ultraedit se instaló en wim7, pero parecía aburrido usarlo para editar Verilog, así que lo busqué en Google y descubrí que siempre que haya una plantilla en el archivo de Word, es suficiente, pero coloque el código de la plantilla en el directorio de instalación de Ultraedit. y abra Verilog en Wordfilet. Aún no hay resaltado de sintaxis. Así que verifiqué los ajustes en la configuración y, efectivamente, encontré que la ruta al archivo de vocabulario estaba configurada en el resaltado de sintaxis, no el archivo de palabras en el directorio de instalación, así que puse el código de la plantilla en el archivo correcto, y efectivamente , apareció el resaltado de sintaxis Brillante. Abra ultraedit, seleccione Avanzado/Configuración/Visualización del editor/Resaltado de sintaxis y verá el directorio correcto. También debe tenerse en cuenta que la carpeta appdata en la unidad C en wim7 está oculta de forma predeterminada, por lo que puede encontrarla buscando directamente C:\Users\asus\AppData. Captura de pantalla de QQ unnamed.png

Simplemente pegue el código de la plantilla al final del archivo de palabras. La L en la primera línea representa el número de serie. Asegúrese de que el valor recién agregado sea el más grande. La última L es 13. Luego, cuando la agregues, escríbela como 14. Si hay un nuevo formato en el futuro, escríbela como 15, y así sucesivamente. Los archivos en Wordfile son todos archivos .uew.

/L14″Verilog 1364-2001″ Comentario de línea = // Bloquear comentario activado = /* Bloquear comentario desactivado = */ String Chars = " Extensión de archivo = V VL VMD

/ Delimitadores = ~! @^amp;*()- =|\/{}[]:;"lt;gt; , .?#

/Function String = "[a-z0-9 ] [ ^t] [a-z_0-9] [ ^t] ("

/Indent string = "begin" "fork" "specify" "config"

/ Unindent string = "end" "join" "endspecify" "endconfig"

/C1″Keywords"

siempre y asigna automáticamente

beginbuf bufif0 bufif1

case casex casez cell cmos config

desasignar diseño defparam predeterminado deshabilitar

edge else end endcase endconfig endmodule endfunction endgenerate endprimitiveendspecify endtable endtask event

para forzar para siempre función fork

generar genvar

highz0 highz1

si ninguno inicial inout instancia de entrada entero

unirse

largeliblist biblioteca localparam

módulo medio macromódulo

nand negedge nmos none ni noshowcancelled not notif0 notif1

o salida

parámetro pulsestyle_onevent pulsestyle_ondetectpmos posedge primitivo pull0 pulsestyle_onevent pulsestyle_ondetect pmos posedge primitivo pull0 pull1 pullup pulldown

real tiempo real reg liberación repetir rcmos rnmos rpmos rtran rtranif0rtanif1

showcancelled escalado firmado pequeño especificar specparam fuerza fuerte0 fuerte1 suministro0 suministro1

tarea de tabla tiempo tran tranif0 tranif1 tri tri1 tri0 triand trior trireg

usar

vectorizado

esperar

varita débil0 débil1 mientras el cable funciona

xnor xor

/C2″System"

** 'b ' B 'o 'O 'd 'D 'h 'H 'sb 'sB 'so 'sO 'sd 'sD 'sh 'sH 'Sb 'SB 'So 'SO 'sd 'sd 'sh'sh

** _

$async$y$array $async$y$plane $async $nand$array $async$nand$plane $async$nor$array $async$nor$plane $async$o$array $async$o$plane

$bitstoreal

$countdriver

$display $displayb $displayh $displayo

$dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $ dist_t $dist_ uniform

$dumpall $dumpfile $dumpflush $dumplimit$dumpoff $dumpon $dumpportsall $dumpportsflush $dumpportslimit $dumpportsoff $ dumpportson $dumpvars

$fclose $fdisplayh $fdisplay $fdisplayf $fdisplayb $ferror $fflush $fgetc $fgets$fmonitorb $fmonitor $fmonitorf $fmonitorh $fopen $fread $fscanf $fseek $fsscanf $fstrobe $fstrobebb $fstrobef $fstrobeh $ftel $fullskew $fwriteb $fwritef $fwriteh $fwrite

`line

`noaccelerate `noexpand_vectornets`noremove_gatenames `noremove_netnames `nounconnected_drive

`protect `protected

`remove_gatenames`remove_netnames`resetall

`escala de tiempo

`unconnected_drive`undef `uselib

/C5″. DelaysAndParameters"

#

/Abrir cadena de plegado = "begin" "case"

/Cerrar cadena de plegado = "end" "endcase"

/Indent string = "begin" "case" "fork" "if" "else"

/Unindent string = "end" "endcase" "join" "else"