¿Cuál es la función de USE IEEE.STD_LOGIC_ARITH.ALL y USE IEEE.STD_LOGIC_UNSIGNED.ALL en MAXPLUS2?
Contiene una función que permite sumar ambos lados de "+" de diferentes tipos para obtener un vector lógico estándar
Por ejemplo, en este contador decimal contra el viento: qi<=qi+1, qi y 1.1, qi y 1 son diferentes tipos de sumas
biblioteca ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entidad addsub_cnt10 es
port(rst,clk,addsub:in std_logic;
c:out std_logic;
q:out std_logic_vector(3 downto 0));
end;
arquitectura uno de addsub_cnt10 es
señal qi: std_logic_vector(3 downto 0);
comenzar
proceso(clk,rst,addsub)
comenzar
si rst='1' entonces qi< =(others=>'0'); c<='0';
elsif clk'event y clk='1' entonces
si addsub='1' entonces
si qi="1001" entonces
qi<=(others=>'0'); c<='1';
en caso contrario qi<= qi+1; c<='0';
finaliza si;
else
si qi="0000" entonces
qi<="1001"; c<='1';
else qi<=qi-1; c<='0';
finaliza;
finalizar si;
finalizar si;
finalizar proceso;
q<=qi ;
finalizar
UTILIZAR IEEE.
biblioteca IEEE;
usar IEEE.STD_LOGIC_ARITH.ALL.
Usar IEEE.STD_LOGIC_ARITH.ALL;
Utilice IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Sumadores paralelos
-- Desmarque la siguiente línea para usar la declaración proporcionada para crear instancias de componentes primitivos de Xilinx
--Biblioteca UNISIM;
--Usar UNISIM.VComponents.
all;
la entidad bingxingjiafaqi es
Puerto ( a : en std_logic_vector(3 hasta 0);
b : en std_logic_vector(3 hasta 0);
p> p>
cin: in std_logic;
s: out std_logic_vector(3 downto 0);
cout: out std_logic);
end bingxingjiafaqi;